clock input should be fixed...